Sari la conținut
ELFORUM - Forumul electronistilor

Postări Recomandate

  • Răspunsuri 10
  • Creat
  • Ultimul Răspuns

Top autori în acest subiect

Top autori în acest subiect

Imagini postate

  • 1 lună mai târziu...

Ai progresat in invatare?

Nu ai mai pus altceva.

Sunt interesat in domeniul FPGA.

       Buna ziua, imi pierdusem speranta, am invatat cate putin.

Placa mea este o copie aproximativa dupa

 http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,648,979&Prod=VMOD-TFT

Cred ca a fost proiectata de Digilent Romania.

Schema ei se gaseste aici:

http://www.digilentinc.com/Data/Products/VMOD-TFT/VmodTFT_sch.pdf

Comparand cu produsul original, piesele marcate NoLoad nu sunt montate, asta simplifica si mai mult tot.

Circuitul FDG6331il este un MOSFET pe care l-am omis, salvezi o IO (placa de pe Ebay nu are decat 52)

http://www.ebay.ca/itm/XILINX-FPGA-CORE-Board-SPARTAN-6-XC6SLX9-with-SDRAM-Easy-to-use-/300914650309?hash=item460fe92cc5

Demo-ul e pe aceeasi pagina Digilent.

Placa originala Atlys are un modul IOExpansion semnat de profesorul Dabacan de la Cluj.

http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,836&Prod=ATLYS&CFID=15305172&CFTOKEN=5a7b0d883daf8fa8-60ADCEEE-5056-0201-02FCC7622D810403

Desi e performanta, e destul de scumpa si are un cablu incomod cu 68 de pini care blocheaza tot ce e intrare si iesire in cazul in care ecranul e bransat. Pot sa pun si PDF-ul cu PCB-ul meu, dar e cam rudimentar, e prototipul zero.

 

Cartea mea favorita e:

http://radio.ubm.ro/EA/Documente/Cursuri_Laboratoare/Diverse/Wiley%20Fpga%20Prototyping%20By%20Vhdl%20Examples%20Xilinx%20Spartan%203%20Version%20Feb%202008.pdf

nu pot sa cred ca au pus-o atat de accesibila, voiam sa dau un link cu coperta.

 

O parte din piese:

ecranul, doar sa va asigurati ca are digitizer si ca firele sunt sudate:

http://www.ebay.ca/itm/171415752340?_trksid=p2057872.m2749.l2649&ssPageName=STRK%3AMEBIDX%3AIT

Genul asta de placa poate simplifica sudarea conectorului chiar daca unele trasee trebuiesc intrerupte si conectate cu fire pentru optimizarea IO.

http://www.ebay.ca/itm/5pcs-FFC-FPC-50-PIN-1mm-0-5mm-to-DIP-Adapter-PCB-Board-Converter-Double-Side-F53-/171251434411?hash=item27df6183ab&_uhb=1

Circuitul de digitizare ecran poate fin inlocuit cu ADS7846:

http://www.ebay.ca/itm/1PCS-TOUCH-SCRN-CONTROLLER-IC-BB-TI-QSOP-16-SSOP-16-ADS7846E-ADS7846EG4-/360689083763?hash=item53fabea573&_uhb=1

Editat de cnegrea0
Link spre comentariu
  • 4 luni mai târziu...
Vizitator yo3hfp

Salutare si la multi ani!

 

Sunt user nou pe acest forum si vreau sa-ti cer parerea intr-o chestiune. 

De curand m-am decis sa-mi fac un frecventmetru si am gasi o schema foarte interesanta a unui japonez: 

http://elm-chan.org/works/uctr/report.html

Schema e buna rau! incepand de la TXCO pana la modul cum isi modifica baza te timp in functie de frcventa de intrare (din cate am inteles eu...).

Am ales-o pe asta pt ca TXCO-ul il am; ar merge pana la 2.4Ghz si nu in ultimul rand pare "lucrata bine".

 

Problema:

Autorul da fisierul pt µC Atmel si pt LSI, dupa cum vezi in josul paginei sale. (le-am atasat si eu aici)

Acuma: pt Atmel e OK, dar fisierul text PLD pt. ispLSI2032 nu inteleg cu ce se mananca...

Din cate am inteles, in definitiv, este un fel de µC facut de LATTICE, pe care il faci sa divizeze dupa cum e scris in acel fisier.

 

Nu am mai lucrat cu microcontrollere si... banuiesc ca esti mai in tema decat sunt eu.

Te-as ruga, daca poti sa ma lamuresti cum procedez cu fisierul ala text pt ispLSI2032.

Daca e sa-l compilez, cu ce, si cum se face...

Asta e... Nimeni nu s-a nascut invatat...

Multumesc.

 

Traian YO3HFP

0724.499.333

 

PLD CODE.txt

ucsrc.rar

Link spre comentariu

  Pare un fisier specific pentru softul de dezvoltare de la Lattice. Nu am nici o experienta in domeniu, dar daca ar fi fost un fisier de configurare, l-ati fi putut descarca direct in CPLD cu un programator. CPLD-ul de la Lattice are avantajul ca e ieftin (7 dolari la Digikey), dar platformele de dezvoltare sunt scumpe. Programatorul e aprox. 40 dolari pe Ebay. CPLD-ul impropriu clasat ca un microcontroler, e un echivalent integrat performant al batranelor porti logice, deci lucreaza la nivel hardware, in paralel. Doar pentru divizor rapid, puteti sa-l faceti si cu un CPLD de la Xilinx, cum ar fi XC9572XL, utilizand VHDL sau Verilog, In cartea care e postata anterior e explicat cum se face un divizor. Oricum, proiectul e extrem de interesant. Cand o sa incep sa lucrez cu Lattice, incep cu frecventmetrul asta. Eu as vrea sa fac un frecventmetru, dar prefer sa construiesc asta:

http://www.siliconchip.com.au/Issue/2012/December/A+2.5GHz+12-digit+Frequency+Counter,+Pt.1

articolul e interesant, am cumparat pdf-urile pt pcb, softul e liber, din pacate nu stiu unde am pus fisierele din Sillicon Chip, dar am articolul reluat in EPE in 2014:

http://www.epemag.com/proj/0114.html

Daca va intereseaza, va trimit PDF-urle pe email privat. Componentele sunt cam vechi si greu de procurat, dar pe Ebay, le gasiti sigur. 

Editat de cnegrea0
Link spre comentariu
Vizitator yo3hfp

Pare un fisier specific pentru softul de dezvoltare de la Lattice. Nu am nici o experienta in domeniu, dar daca ar fi fost un fisier de configurare, l-ati fi putut descarca direct in CPLD cu un programator. CPLD-ul de la Lattice are avantajul ca e ieftin (7 dolari la Digikey), dar platformele de dezvoltare sunt scumpe. Programatorul e aprox. 40 dolari pe Ebay. CPLD-ul impropriu clasat ca un microcontroler, e un echivalent integrat performant al batranelor porti logice, deci lucreaza la nivel hardware, in paralel. Doar pentru divizor rapid, puteti sa-l faceti si cu un CPLD de la Xilinx, cum ar fi XC9572XL, utilizand VHDL sau Verilog, In cartea care e postata anterior e explicat cum se face un divizor. Oricum, proiectul e extrem de interesant. Cand o sa incep sa lucrez cu Lattice, incep cu frecventmetrul asta. Eu as vrea sa fac un frecventmetru, dar prefer sa construiesc asta:http://www.siliconchip.com.au/Issue/2012/December/A+2.5GHz+12-digit+Frequency+Counter,+Pt.1articolul e interesant, am cumparat pdf-urile pt pcb, softul e liber, din pacate nu stiu unde am pus fisierele din Sillicon Chip, dar am articolul reluat in EPE in 2014:http://www.epemag.com/proj/0114.htmlDaca va intereseaza, va trimit PDF-urle pe email privat. Componentele sunt cam vechi si greu de procurat, dar pe Ebay, le gasiti sigur.

De regula cand ma-ntepenesc intr-o schema... ies greu.Componentele le-am comandat la TME, şi azi au sosit. Rămân la schema asta si o scot eu la capăt cumva...Am lucrat zilele astea cu ispLever clasic şi incep sa-nțeleg ceva-ceva. Da' e greu. Asta e... Se pare ca nimeni p-aici n-lucrat cu softu ăsta. Mă ajuta mult dacă cineva a mai programat CPLD-uri.
Link spre comentariu

De regula cand ma-ntepenesc intr-o schema... ies greu.Componentele le-am comandat la TME, şi azi au sosit. Rămân la schema asta si o scot eu la capăt cumva...Am lucrat zilele astea cu ispLever clasic şi incep sa-nțeleg ceva-ceva. Da' e greu. Asta e... Se pare ca nimeni p-aici n-lucrat cu softu ăsta. Mă ajuta mult dacă cineva a mai programat CPLD-uri.

            O explicatie ar fi ca nu au mai mut de 6% din piata, e greu sa te apuci sa studiezi produsele lor, mai ales ca ia foarte mult timp. Sa postati ceva etape pe care le-ati parcurs ar usura considerabil munca incepatorilor, sper sa detaliati constructia frecventmetrului. 

Editat de cnegrea0
Link spre comentariu
  • 11 luni mai târziu...
  • 3 luni mai târziu...

Va salut,

similar cu proiectul de masurare a frecventei se poate gasi aici http://andybrown.me.uk/2016/02/21/nanocounter/ , design-ul pare destul de ok.

 

Principiul de functionare se bazeaza pe documentul din acest link http://andybrown.me.uk/wp-content/images//nanocounter/equal_precision_counter.pdf

 

Si eu m-am apucat de el, doar ca lucrez pe un spartan6 si in verilog ( este mai "digerabil comparativ cu vhdl)

Link spre comentariu
  • 2 ani mai târziu...

Creează un cont sau autentifică-te pentru a adăuga comentariu

Trebuie să fi un membru pentru a putea lăsa un comentariu.

Creează un cont

Înregistrează-te pentru un nou cont în comunitatea nostră. Este simplu!

Înregistrează un nou cont

Autentificare

Ai deja un cont? Autentifică-te aici.

Autentifică-te acum



×
×
  • Creează nouă...

Informații Importante

Am plasat cookie-uri pe dispozitivul tău pentru a îmbunătății navigarea pe acest site. Poți modifica setările cookie, altfel considerăm că ești de acord să continui.Termeni de Utilizare si Ghidări